VHDL Based Robot PART-IV





AUTONOMOUS ROBOT FOLLOWING PREDEFINED PATH USING VHDL CODING - PART IV


In this final part we will discuss about the VHDL coding and the software used for the same.


LOGIC DISCRIPTION
1.   An entity named vlsi_robot is declared with its input and output ports. Its input is clock (clk) and outputs are display (d), output (o), and select signal (s).
2.   D is the bits for 7 segment display and
0 Komentar untuk "VHDL Based Robot PART-IV"

Back To Top